Full metadata record

DC Field Value Language
dc.contributor.authorKim, Younghee-
dc.contributor.authorJin, Hongzhou-
dc.contributor.authorKim, Dohoon-
dc.contributor.authorHa, Panbong-
dc.contributor.authorPark, Min-Kyu-
dc.contributor.authorHwang, Joon-
dc.contributor.authorLee, Jongho-
dc.contributor.authorWoo, Jeong-Min-
dc.contributor.author최지연-
dc.contributor.authorLee, Changhyuk-
dc.contributor.authorKwak, Joon Young-
dc.contributor.authorSon, Hyunwoo-
dc.date.accessioned2024-01-19T10:03:54Z-
dc.date.available2024-01-19T10:03:54Z-
dc.date.created2023-03-10-
dc.date.issued2023-02-
dc.identifier.issn2079-9292-
dc.identifier.urihttps://pubs.kist.re.kr/handle/201004/114020-
dc.description.abstractThis paper presents a synaptic driving circuit design for processing in-memory (PIM) hardware with a thin-film transistor (TFT) embedded flash (eFlash) for a binary/ternary-weight neural network (NN). An eFlash-based synaptic cell capable of programming negative weight values to store binary/ternary weight values (i.e., +/- 1, 0) and synaptic driving circuits for erase, program, and read operations of synaptic arrays have been proposed. The proposed synaptic driving circuits improve the calculation accuracy of PIM operation by precisely programming the sensing current of the eFlash synaptic cell to the target current (50 nA +/- 0.5 nA) using a pulse train. In addition, during PIM operation, the pulse-width modulation (PWM) conversion circuit converts 8-bit input data into one continuous PWM pulse to minimize non-linearity in the synaptic sensing current integration step of the neuron circuit. The prototype chip, including the proposed synaptic driving circuit, PWM conversion circuit, neuron circuit, and digital blocks, is designed and laid out as the accelerator for binary/ternary weighted NN with a size of 324 x 80 x 10 using a 0.35 mu m CMOS process. Hybrid bonding technology using bump bonding and wire bonding is used to package the designed CMOS accelerator die and TFT eFlash-based synapse array dies into a single chip package.-
dc.languageEnglish-
dc.publisherMDPI AG-
dc.titleDesign of Synaptic Driving Circuit for TFT eFlash-Based Processing-In-Memory Hardware Using Hybrid Bonding-
dc.typeArticle-
dc.identifier.doi10.3390/electronics12030678-
dc.description.journalClass1-
dc.identifier.bibliographicCitationElectronics (Basel), v.12, no.3-
dc.citation.titleElectronics (Basel)-
dc.citation.volume12-
dc.citation.number3-
dc.description.isOpenAccessY-
dc.description.journalRegisteredClassscie-
dc.description.journalRegisteredClassscopus-
dc.identifier.wosid000929203300001-
dc.identifier.scopusid2-s2.0-85147877242-
dc.relation.journalWebOfScienceCategoryComputer Science, Information Systems-
dc.relation.journalWebOfScienceCategoryEngineering, Electrical & Electronic-
dc.relation.journalWebOfScienceCategoryPhysics, Applied-
dc.relation.journalResearchAreaComputer Science-
dc.relation.journalResearchAreaEngineering-
dc.relation.journalResearchAreaPhysics-
dc.type.docTypeArticle-
dc.subject.keywordPlusNEURAL-NETWORK-
dc.subject.keywordPlusFLASH MEMORY-
dc.subject.keywordPlusEFFICIENT-
dc.subject.keywordPlusSRAM-
dc.subject.keywordAuthorthin-film transistor (TFT)-
dc.subject.keywordAuthorembedded flash (eFlash)-
dc.subject.keywordAuthorbinary-
dc.subject.keywordAuthorternary weight-
dc.subject.keywordAuthorneural network-
dc.subject.keywordAuthorprocessing-in-memory (PIM)-
dc.subject.keywordAuthoraccelerator-
dc.subject.keywordAuthorsynapse cell-
dc.subject.keywordAuthorhybrid bonding-
Appears in Collections:
KIST Article > 2023
Files in This Item:
There are no files associated with this item.
Export
RIS (EndNote)
XLS (Excel)
XML

qrcode

Items in DSpace are protected by copyright, with all rights reserved, unless otherwise indicated.

BROWSE