Low-temperature smoothing method of scalloped DRIE trench by post-dry etching process based on SF6 plasma

Authors
Park, J.S.Kang, D.-H.Kwak, S.M.Kim, T.S.Park, J.H.Kim, T.G.Baek, S.-H.Lee, B.C.
Issue Date
2020-08
Publisher
Society of Micro and Nano Systems
Citation
Micro and Nano Systems Letters, v.8, no.1
Abstract
Deep reactive-ion etching (DRIE) is commonly used for high aspect ratio silicon micromachining. However, scalloping, which is the result of the alternating Bosch process of DRIE, can cause many problems in the subsequent process and degrade device performance. In this work, we propose a simple and effective method to smoothen the scalloping of DRIE trenches. The proposed method utilizes sidewall dry etching by reactive-ion etching (RIE) based sulfur hexafluoride (SF6) plasmas, following the DRIE process. To investigate the effect of the etch parameter on the scallop smoothing effect, the radio frequency (RF) power and gas flow are controlled. After the RIE treatment, the scallop smoothing effects were evaluated by measuring the average scallop depth under each condition. The scallop depth was reduced by 91% after implementing the scallop smoothing technique using RIE. Thus, our smoothening method based on SF6 plasmas would provide broad availabilities and applicability in silicon micromachining with the simple low-temperature process. ? 2020, The Author(s).
Keywords
Deep reactive-ion etching; Reactive-ion etching; Scallop smoothing; SF6 plasma; Silicon micromachining
ISSN
2213-9621
URI
https://pubs.kist.re.kr/handle/201004/118313
DOI
10.1186/s40486-020-00116-x
Appears in Collections:
KIST Article > 2020
Files in This Item:
There are no files associated with this item.
Export
RIS (EndNote)
XLS (Excel)
XML

qrcode

Items in DSpace are protected by copyright, with all rights reserved, unless otherwise indicated.

BROWSE